CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ADC Verilog

搜索资源列表

  1. adc_verilog

    0下载:
  2. adc verilog 用verilog编写的sigma-delta adc例子 应用在计量类adc产品
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3174
    • 提供者:张鸿
  1. ADC0832_test.rar

    0下载:
  2. ADC0832是一个8-bit的ADC转化芯片,工作频率为250Khz,最大频率可达400Khz,转化通道有两个,输入电压可分有单端或差分形式。本测试使用单端电压输入形式,从昔年的CH0输入电压,使用Xilinx XC3S200AN开发板,并且使用Xilinx ise工具中的ChipScope工具来查看转化后的DO数据是否正确。经验证,输入电压范围是0V--5.5V,当电压达到5.5V时,满刻度.,ADC0832 is an 8-bit conversion of the ADC chip, t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3628113
    • 提供者:zhangjiansen
  1. adc

    0下载:
  2. 用verilog实现TLC549——AD采集实验,采集完的数送给数码管显示-TLC549- AD Acquisition experimental collection finished with verilog number sent to the digital tube display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:596111
    • 提供者:蒋亮
  1. TCL2543

    2下载:
  2. 基于FPGA的TLC2543控制器,采用状态进行控制ADC进行转换-The TLC2543 controller based on FPGA, using state control of ADC conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-12
    • 文件大小:287101
    • 提供者:555
  1. verilogsigma-deltaadc

    2下载:
  2. 用verilog编写的sigma-deltaADC的源程序。-code of verilog for sigma delta ADC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-06
    • 文件大小:4343
    • 提供者:刘晓志
  1. adc2

    0下载:
  2. ADC control in VHDL language. Spartan 3E starter pack ISE 10.1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:203525
    • 提供者:khoosram
  1. TERASIC_AUDIO

    0下载:
  2. 友晶提供的Audio的IP核。这个IP核提供了Verilog的硬件部分源码和相应的HAL驱动程序。-Audio provided by Friends of Crystal' s IP core. The IP core provides a Verilog hardware part of the source and the corresponding HAL driver.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:126083
    • 提供者:changjiang
  1. verilogadc0809

    0下载:
  2. verilog adc0809控制器FPGA实现,编译通过,系统时钟分频,满足ADC时钟要求。-verilog adc0809 controller FPGA, compiler, system clock frequency to meet the requirements of ADC clock.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:344330
    • 提供者:luo
  1. Virtex-Analog-to-Digital-Converter

    0下载:
  2. adc verilog 很不错的,希望对大家有所帮组-adc verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:34968
    • 提供者:liwei
  1. ADC-

    0下载:
  2. it is the document & source code in verilog of adc using sparten 3e fpga kit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:721887
    • 提供者:kamlesh
  1. ADC_16bit

    0下载:
  2. 16位ADC的verilog源代码 16-bit Analogue-Digital Converter-16-bit ADC verilog source code 16-bit Analogue-Digital Converter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:1554
    • 提供者:wangzhenliang
  1. ADC

    0下载:
  2. 这是个别人写的通俗易懂的verilog控制ADC工作的源程序。没有注释,不过描述语言较简单,适用于verilog的初学者-this text describes how verilog controls ADC working ,which is very simple for fishes of verilog,though there is no explanation.
  3. 所属分类:Other systems

    • 发布日期:2017-12-01
    • 文件大小:1103
    • 提供者:陈木
  1. ADC

    0下载:
  2. 使用TI公司的TLC549芯片实现模数转换,使用verilog语言进行编程,功能完整-Using TI' s TLC549 chip analog to digital conversion, using verilog programming language, full-featured
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:10686
    • 提供者:
  1. Fpga-based-ADC-sampling-voltage-

    1下载:
  2. 基于fpga的ADC采样电压用,显示在数码管上。verilog语言。-Fpga-based ADC sampling voltage used, displayed on the digital pipe. verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2267078
    • 提供者:祖儿
  1. DE1-verilog

    0下载:
  2. Altera公司推出最新开发板DE1。该资料为DE1的FPGA 代码,包括ADC,音频处理,视频输出等,供大家参考使用。-Altera Corporation introduced the latest development board DE1. The data for the DE1 FPGA code, including the ADC, audio processing, video output, etc., for your use and reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-02
    • 文件大小:11901952
    • 提供者:小陈
  1. adc

    0下载:
  2. VERILOG编程,利用状态机实现对TLC549的采样控制,实验时可调节电位器RW1(在开发板底板左下角),改变ADC 的模拟量输入值,数据采集读取后在数码管上显示。 -Implementation of sampling control of TLC549 using state machine, adjustable potentiometer RW1 experiment (in the development board bottom left corner), change t
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-11
    • 文件大小:1503
    • 提供者:suzhangzhan
  1. example14-ADC-ok

    0下载:
  2. 基于verilog HDL开发的ADC tlc549程序控制,已经调试通过。-Based verilog HDL developed ADC tlc549 control program has been adopted debugging.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:499878
    • 提供者:lwb
  1. adc.v

    0下载:
  2. this an adc interface verilog code-this is an adc interface verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2037
    • 提供者:chester
  1. ADC

    0下载:
  2. verilog At the last, before starting fist go through the FPGA NEXYS2 Board manual. It will be useful for you for this interfacing and also for the future. Best of luck…, try this one because practice makes man perfect. And, yes also if you have a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2188
    • 提供者:sid
  1. vst

    0下载:
  2. adc寄存器配置,功能代码编写,实现1GSPS采样率信号采集功能(ADC register configuration)
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2017-12-16
    • 文件大小:151552
    • 提供者:Kevin_Trey5
« 1 23 4 »
搜珍网 www.dssz.com